深圳市凯斯宇科技有限公司

14年

深圳市凯斯宇科技有限公司

卖家积分:22001分-23000分营业执照:已审核经营模式:贸易/代理/分销所在地区:广东 深圳企业网站:
http://www.szksykj.com/

收藏本公司 人气:982070

企业档案

  • 相关证件:营业执照已审核 
  • 会员类型:
  • 会员年限:14年
  • 张凯 QQ:375496263
  • 电话:0755-28892811
  • 手机:13717085518
  • 阿库IM:
  • 地址:深圳市福田区振华路深纺大厦C座西六楼
  • 传真:0755-28892811
  • E-mail:szksy82@126.com

您的当前位置:

深圳市凯斯宇科技有限公司 > 新闻动态 > 新思科技联合台积公司加快N3制程创新,实现新一代芯片设计

新思科技联合台积公司加快N3制程创新,实现新一代芯片设计

发布时间: 2020/10/29 11:27:04 | 139 次阅读

新思科技近日宣布,其数字和定制设计平台已获得台积公司3奈米制程技术验证。此次验证基于台积公司的设计参考手册(DRM)和工艺设计工具包(PDK),是经过广泛合作与严格验证的结果。该验证旨在提供设计解决方案,在获得优化PPA性能的同时加快新一代设计的进程。

·       半导体市场日益增长的需求推动芯片制程的发展

·       新思科技与台积公司开展广泛合作,利用新思科技全流程数字和定制设计平台,有效发挥台积公司 3奈米制程技术(N3)的PPA(功耗、性能和面积)优势,同时加快产品上市时间

·       新思科技进一步强化关键产品,以支持台积公司 N3制程的进阶要求

新思科技近日宣布,其数字和定制设计平台已获得台积公司3奈米制程技术验证。此次验证基于台积公司的设计参考手册(DRM)和工艺设计工具包(PDK),是经过广泛合作与严格验证的结果。该验证旨在提供设计解决方案,在获得优化PPA性能的同时加快新一代设计的进程。

台积公司设计及基础设施管理部资深部长Suk Lee表示:“我们与新思科技多年的合作成果显著,新思科技基于台积公司先进制程的平台解决方案协助我们的客户实现芯片创新,利用台积公司N3制程技术显著降低芯片功耗、提升芯片性能,并加速新产品上市的时间。对新思科技设计解决方案进行验证令我们的共同客户能够基于台积公司 N3制程完成芯片设计,实现PPA优化。”

通过与台积公司密切合作,新思科技开发了一系列关键的功能和新技术,从而确保从综合、布局布线到时序和物理签核在台积公司 N3制程实现全流程一致性。新思科技的Fusion Compiler™ RTL-to-GDSII解决方案和IC Compiler II布局布线解决方案全面支持台积公司 N3制程。新思科技的Design Compiler® NXT综合解决方案得到增强,让开发者能够充分利用台积公司 N3技术优势,获得高质量的设计结果(QoR),并利用高精度的全新电阻和电容估计方法实现与IC Compiler II布局布线解决方案关联的一致性。PrimeTime® 签核解决方案支持Advanced Mulit-input Switching(MIS),以实现准确的时序分析和签核收敛。此外,Design Compiler NXT支持台积公司 N3制程多种工艺,以实现高性能计算和移动芯片设计。

根据台积公司 N3制程技术特点,新思科技进一步增强了支持引脚密度感知布局和全局布线建模的数字设计平台,以实现更好的标准单元引脚布线收敛;协同单元放置检查和优化(CLO),以实现更快的时序收敛;通过新的单元映射(单元密度)基础架构,化利用空余空间来改善PPA;并通过自动生成过孔支柱(via pillar)和部分平行布线实现互连优化,以实现高性能设计;优化功耗感知混合驱动强度多位触发器(MBFF),以实现低功耗设计。

在新思科技定制的设计平台中增强了Custom Compiler的功能,以加快实现N3模拟芯片设计。这些功能增强是与N3早期用户(包括DesignWare® IP团队)共同开发并验证的,可减少新设计规则和其他N3技术要求所需的工作量。新思科技HSPICE®、FineSim®和CustomSim仿真解决方案有助于缩短基于台积公司 N3制程技术芯片设计的时间,并为台积公司 N3电路仿真和可靠性要求提供签核覆盖。

新思科技设计事业部系统解决方案及生态系统支持副总裁Charles Matar表示:“通过与台积公司合作,为其先进的N3制程技术提供高度差异化的解决方案,使客户更有信心开始设计日益复杂的芯片,并使开发者能够充分利用先进EUV制程显著改进PPA,加快其差异化芯片的创新。”

新思科技的N3技术制程文件可从台积公司获取。新思科技设计平台的关键产品获得了以下:

数字设计解决方案

·       Fusion Compiler和IC Compiler II布局布线解决方案

签核平台

·       PrimeTime时序签核

·       PrimePower功耗签核

·       StarRC提取签核

·       IC Validator物理签核

·       NanoTime定制时序签核

·       ESP-CV定制功能验证

·       QuickCap® NX寄生参数场解算器

SPICE仿真和定制设计 

·       HSPICE,、CustomSim和FineSim仿真解决方案

·       CustomSim可靠性分析

·       Custom Compiler定制设计